CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - dds verilog

搜索资源列表

  1. VERILOG DDS 正弦输出

    2下载:
  2. Verilog 编写
  3. 所属分类:VHDL编程

    • 发布日期:2011-08-12
    • 文件大小:1791
    • 提供者:ymthink
  1. DDS.rar

    4下载:
  2. FPGA控制AD9854的源文件,verilog,附有简单文档。,FPGA to control the AD9854 source file, verilog, with a simple document.
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-08
    • 文件大小:819916
    • 提供者:柴佳
  1. dds

    0下载:
  2. verilog 硬件语言实现DDS,使用ise11.1和modelsim se6.5仿真测试-verilog hardware language DDS, using the simulation test ise11.1 and modelsim se6.5
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2595262
    • 提供者:linzi
  1. DDS

    0下载:
  2. 我们小组共了一个月做的DDS,程序核心用的是Verilog HDL,有仿真波形,输出正弦波,方波,及三角波,步进可调.频率范围1HZ--10MHZ-Our group for a month to do a total of DDS, the procedure is used in the core of Verilog HDL, there are simulation waveform, the output sine wave, square wave and triangular wa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:117375
    • 提供者:tiancheng
  1. DDS

    0下载:
  2. DDS文件夹内的程序,完成直接数字频率合成功能,有正弦,三角,方波三种波形,并能扫频. 可通过键盘操作设置频率参数和选择波形种类和控制运行. 由两部分组成,"C"文件夹内,是用于在 51 单片机上运行的 C语言程序, "Verilog"文件夹内,是用Verilog语言编写的 FPGA 程序.-DDS program folder, complete direct digital frequency synthesis function, sine, triangle, square
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:433160
    • 提供者:王金
  1. DDS

    1下载:
  2. 基于DDS原理的正弦信号发生器。用VERILOG语言实现,功能强大。-DDS based on the principle of sinusoidal signal generator. Using Verilog language and powerful.
  3. 所属分类:software engineering

    • 发布日期:2017-03-26
    • 文件大小:558736
    • 提供者:毛华站
  1. FPGA-DDS

    0下载:
  2. 在FPGA内,以查表方式实现频率直接合成器(DDS)功能。verilog源代码-In the FPGA in order to achieve the look-up table means the direct synthesizer frequency (DDS) feature. verilog source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1937
    • 提供者:niuqs
  1. dds

    0下载:
  2. verilog语言编写,在Quartus II里仿真DDS的产生,包括所有仿真生成的相关文件,-verilog language in the Quartus II DDS in the generation of simulation, including all documents generated by the simulation,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:3013196
    • 提供者:颜小超
  1. dds

    0下载:
  2. 直接频率合成器,采用verilog hdl-Direct frequency synthesizer using verilog hdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1468
    • 提供者:江浩
  1. DDS

    0下载:
  2. this a code for DDS in Verilog-this is a code for DDS in Verilog
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-13
    • 文件大小:2428
    • 提供者:SID17
  1. dds

    0下载:
  2. DDS文件夹内的程序,完成直接数字频率合成功能,有正弦,三角,方波三种波形,并能扫频. 可通过键盘操作设置频率参数和选择波形种类和控制运行. 由两部分组成,"C"文件夹内,是用于在 51 单片机上运行的 C语言程序, "Verilog"文件夹内,是用Verilog语言编写的 FPGA 程序-ewfreytrgrwf reggwrter rgterthhrgdfs rgdgf egrthg rgreaf rtgerf srfefsf frafgsf frghrsrgwgt
  3. 所属分类:SCM

    • 发布日期:2017-03-26
    • 文件大小:28372
    • 提供者:nbonwenli
  1. DDS

    1下载:
  2. 基于Verilog的dds设计,已经经过调试,可直接使用-Dds of Verilog-based design, has been testing can be used directly
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2041510
    • 提供者:郭帅
  1. DDSVerilog

    0下载:
  2. DDS Verilog 代码。包含英文文档说明-DDS Verilog code. Containing the English documentation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:71471
    • 提供者:caixiang
  1. DDS

    0下载:
  2. 同时用verilog 语言编写dds原代码用于生成正余弦波,并在FPGA平台进行验证-described dds direct digital frequency synthesis of the basic tenets addition to the use of verilog prepared dds source used to produce sine, and FPGA development platform for verification
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:1082
    • 提供者:scond
  1. dds

    1下载:
  2. 在quartus下的DDS设计,Verilog语言,可以产生正弦波、三角波、方波等,频率可调。-Under the DDS in quartus design, Verilog language, you can produce sine wave, triangle wave, square wave, frequency adjustable.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-07-29
    • 文件大小:2632704
    • 提供者:米多
  1. DDS

    0下载:
  2. Verilog语言实现基于DDS技术的余弦信号发生器,输出位宽16Bit-Verilog language technology based on the cosine DDS signal generator, the output bit width 16Bit
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-03
    • 文件大小:3887
    • 提供者:柏承建
  1. dds

    0下载:
  2. DDS数字式频率合成器 利用VERILOG实现,有modelsim仿真图-DDS digital frequency synthesizer using VERILOG realization, modelsim simulation diagram
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:382799
    • 提供者:
  1. DDS

    0下载:
  2. DDS数字频率合成的verilog代码,附有正余弦查找表等-DDS digital frequency synthesis verilog code, with a cosine look-up table, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-10-13
    • 文件大小:16772096
    • 提供者:allen-haha
  1. DDS

    0下载:
  2. 关于用FPGA制作的DDS源代码。用的是verilog语言,用的是xlinx的软件-Produced with the DDS on FPGA source code. Using verilog language, using xlinx software
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:4672
    • 提供者:张君
  1. DDS

    1下载:
  2. 能在DDS中用Verilog HDL语言实现FM,AM,FSK,ASK,PSK,结合可编程器件FGPA等等就能实现这些功能 -DDS can be used in Verilog HDL language FM, AM, FSK, ASK, PSK, etc. FGPA programmable devices can be combined to achieve these functions
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-13
    • 文件大小:6281501
    • 提供者:王凡
« 12 3 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com